ARTIKEL  AMD lanserade sin senaste x86-mikroarkitektur i november 2007. AMD K10 har sedan dess uppdaterats och finslipats men dagens AMD-processorer bygger alla på samma grundstomme. Inom en snar framtid kommer Bulldozer och Bobcat ta över, två färska arkitekturer vi nu kikat närmare på.

Först och främst ska vi göra klart att AMD talat om Bulldozer och Bobcat under en ganska lång tid och att när man nu gör en ny officiell presentation av sina kommande mikroprocessorarkitekturer inte bjuder på alldeles för mycket nyheter.

Men det faktum att AMD redan under fjärde kvartalet kommer att lansera sin första Bobcat-serie i form av Ontario, för den mobila marknaden, har gjort tillverkaren lite mer pratglad angående kretsarnas inriktning.

Förutom Bulldozer och Bobcat kommer vi även att prata lite om AMD:s första Fusion APU (Application Processing Unit) för desktopmarknaden. Denna krets tillverkas som bekant under namnet Llano och har sina rötter i dagens K10-arkitektur, men mer om detta senare.

Bulldozer och Bobcat – två skilda kretsar för två skilda marknader

AMD har tidigare utvecklat en ensam mikroprocessor-arkitektur som man sedan skalat och omformat för att täcka sitt breda spektrum av produkter. Allt från strömsnåla och billiga netbooks till kraftfulla servrar och entusiastdatorer, alla har i grund och botten byggts kring samma arkitektur. Men det är ett tillvägagångssätt man nu lämnar bakom sig.

bull2

Bulldozer är AMD:s kanske mer klassiska arkitektur som är tänkt att hitta in i stationära datorer men även kraftfulla servrar, där kretsen faktiskt börjar sin era under 2011.

Bobcat i sin tur är något av en ny gemenskap för AMD. En processorkrets utvecklad primärt för den bärbara marknaden. Vi talar då inte enbart om en satsning på vanliga bärbara datorer utan även riktigt små system som netbooks och kanske även avarter som smartphones eller stationära mediaspelare.

AMD har insett att den breda datormarknad vi ser idag bara växer och hur duktiga man än är går det inte att skapa en ensam arkitektur som klarar av att skala mellan 0,5 watt upp till 140 watt och erbjuda effektiv prestanda genom alla segment. Därav sin satsning på två skilda mikroarkitekturer.

Vi börjar med Bulldozer, som förvisso kommer senare ut på marknaden men som har enormt stor betydelse för AMD.


AMD säljer processorer på ett flertal olika marknader och både den stationära PC-marknaden samt servermarknaden är ytterst viktiga för det kanadensiska företaget. När analytikerföretaget IDC presenterade sina senaste mätningar från processormarknaden såg vi att AMD ökade sin totala marknadsandel med 0,2 procentenheter. Men detta var endast på grund av sin fina försäljning på den bärbara marknaden, AMD tappade marknadsandelar på både den stationära PC-marknaden och servermarknaden. Detta trots att man tidigare i år lanserat nya 8-kärniga samt 12-kärniga serverprocessorer i sin Opteron 6000-familj.

Globala processormarknaden Q2 2009 Q1 2010 Q2 2010
Intel 78.9% 81.0% 80.7%
AMD 20.6% 18.8% 19.0%
Via 0.5% 0.2% 0.3%

AMD har sin kärnverksamhet inom den stationära marknaden, samtidigt som servermarknaden bjuder på de riktigt fina pengarna. Med tanke på att K10-arkitekturen egentligen bygger på mycket av det arbeta som AMD påbörjade med sin K8-arkitektur redan 2003 är det inte så konstigt att man haft svårt att hänga med Intel på dessa prestandainriktade marknader.

Servermarknaden visar enorm tillväxtpotential

Bulldozer kommer att bli oerhört viktig för AMD och när de första produkterna dyker upp med den nya arkitekturen år 2011 blir det inom servermarknaden. AMD har idag 6,6% av den totala servermarknaden, där Intel sitter på hela 93,5%. Det finns med andra ord utrymme för tillväxt.

bull3

Det är med detta i bakhuvudet inte särskilt svårt att se vad som varit viktigt när AMD utvecklat sin nya mikroarkitektur, parallell arbetskraft.


Bulldozer är utvecklad för att erbjuda flertrådsprestanda som slår Intels HyperThreading på fingrarna och arkitekturen kan närmast ses som en hybrid av två äkta kärnor och simulering av demsamma.

bull4

AMD menar att man under utvecklingen av Bulldozer startade med två separata kärnor och sedan funderade kring hur man skulle kunna sammanfoga dessa för att erbjuda kraftfull flertrådsprestanda, utan att slösa på värdefulla transistorer.

Slutresultatet är en processorarkitektur som byggs kring moduler där resurserna inte bara delas i processorkretsen (L3-cache, minneskontroller osv) utan även i processorkärnorna (modulerna) själva.

bull5

AMD säger att generellt 80% av alla instruktioner som processorn bearbetar utförs på heltal. Detta skiftar givevis mellan olika användningsområden men slutsaten är att ska man prioritera något i en CPU så är det komponenterna som sköter heltalsberäkningar. Detta är precis vad AMD gjort med Bulldozer. Varje ”modul” i processorn innehåller två oberoende heltalskluster som med vars 4 pipelines och egen L1-cache kan hantera varsin tråd samtidigt. Flyttalsberäkningarna sköts av en ensam beräkningsenhet som delar L2-cache med de två exekveringsenheterna för heltalsberäkningar.

bull1

Denna lösning menar AMD är ett bättre alternativ än de tidigare lösningar processor använt på flertrådade applikationer, där man antingen tryckt in flera trådar i en ensam kärna (SMT) eller helt enkelt fördubblat all komponenter och skapat två fysiska kärnor (CMP). Enligt AMD ska man med denna lösning nå 80% av prestandan hos en vanlig tvåkärnig processor, samtidigt som den extra heltalskärnan i varje Bulldozer modul endast ökar kretsstorleken med 12%.

Beroende på belastning skulle AMD kunna effektivisera prestandan per watt rejält. Operativsystemet och användare kommer att se varje Bulldozer-modul som en tvåkärnig CPU och genom att dela flera olika prestandaelement i kärnorna ska alltså effektiviteten hållas på topp.

bull16

Tanken är att Bulldozer ska dyka upp i processorer med 2 till 8 kärnor (1 till 4 moduler) och enligt AMD ska vi alltså tänka på detta som en traditionell 8-kärnig CPU, inte som en motsvarighet till Intels fyrkärniga processorer med HyperThreading, som även dessa kan hantera åtta trådar samtidigt.

AMD kommer även att använda sig av dubbla Bulldozer-kretsar med sammanlagt 8 moduler och 16 logiska kärnor, detta i serverprocessorn Interlagos.

Hur AMD:s design fungerar i verkliga applikationer kan vi inte svara på idag. Men rent teoretiskt skulle man kunna effektivisera sina processorer ordentligt, något som tillsammans med en ny 32-nanometers SOI-teknik skulle vara väldigt välkommet med tanke på den höga strömförbrukning vi ser i toppmodellerna av Phenom II-familjen.

AMD har under flera år kontrat Intels HyperThreading-teknik (SMT-design) genom att lösgöra fler fysiska processorkärnor. Något som i rätt applikationer ger bra gensvar, men som än idag ofta har svårt att nyttja sina fulla potantial, eller ens vara i närheten av demsamma. Med Bulldozer finns det potential att hämta riklig flertrådsprestanda när den behövs, utan att stora delar av kretsen ligger i vila längre delar av tiden.

Bulldozer bygger alltså på en modulär design och det är dags att kika närmare på vad som gömmer sig i arkitekturens grundstomme.


Även om AMD lyckats skala av en hel del ”onödiga” transistorer i sin Bulldozer-modul är det inte bara att slänga in två exkeveringsenheter och öppna dörrarna för två trådar. Med fler beräkningsenheter vill det till att instruktioner och data når fram i rätt tid för att hålla effektiviteten uppe och inte skapa flaskhalsar.

AMD har därför sett till att biffa till de delade resurser vi hittar i processormodulerna. Modulens 2-vägs 64KB instruktioncache är oförändrad sedan Phenom II men själva x86-avkodarna är nu fyra till antalet, upp från den 3-vägs design som använts tidigare.

bull6

För att ytterligare minimera flaskhalsar har AMD valt att dela på branch predict och fetch-enheterna, vilket betyder att dessa kan arbeta oberoende av varandra. Något som inte är möjligt i Phenom II-arkitekturen.

bull10

Tittar vi djupare i arkitekturen har instruktionerna tagit sig ner till exkeveringsenheterna där bulldozer alltså har två integer schedulers som fördelar heltalsresurserna och en delad FPU för flyttalsberäkningar. Varje aritmetikenhet har en 16KB L1-cache för datalagring, där FPU-enheten kan hämta data från båda.

Utöver att de flesta beräkningar en PC arbetar med idag är heltal väntas AMD ta hjälp av sina grafikkretsar för att öka sina plattformars flyttalsberäkningar, något GPU-arkitekturer är utmärkta för.

bul7 bull8

Stöd för AVX och SSE 4.2 instruktioner

FPU-enhetens två 128-bit FMAC-enheter kan nyttjas seperat eller slås samman till 256-bit för att till fullo utnyttja processorns stöd för AVX-instruktioner samtidigt som de två 128-bit MMX enheterna ger stöd för instruktioner från SSE 4.1 samt 4.2. Vad det verkar stödjer AMD inte det nya SSD5 instruktionspaketet men detta kan komma att dyka upp i framtida versioner.

Aggressivare prefetch-enheter för både L1 och L2 cache

Det är som sagt av högsta vikt att Bulldozer kan hålla sina beräkningsenheter välgödda och för att inte ödsla bort viktiga klockcykler vill det till att cacheminnet innehåller de instruktioner och data som behövs under processorns arbete. Bulldozer har enheter för både L1- och L2-cachen som förutspår vilken data och intruktioner som kommer att behövas och hämtar in dessa för snabb åtkomst.

L2-cachen i sig själv är en 16-vägs design och är alltså separat för varje modul, L3-cachen i sin tur är delad mellan alla moduler i kretsen.

bull9 bull17

Bulldozer får effektivare Turbo Core med power gating

AMD pratar mycket om effektivitet när man diskuterar sin nya Bulldozer arkitektur och för första gången ser vi hur tillverkaren använder sig av så kallad power gating. Detta låter AMD kontrollera inte bara klockfrekvenser utan även spänningar till separata moduler i processorn. Med andra ord kan man på detta sätt skapa betydligt effektivare teknik för att skala prestanda och strömförbrukning efter olika användningsscenario.

bull14

AMD har redan använt clock gating i sin Phenom II X6-serie där man kallar Tekniken Turbo Core, men med Bulldozer blir det möjligt för AMD att verkligen optimera strömförbrukningen i sina processorer. Vi har fått lite motstridiga uppgifter om på vilken nivå AMD:s power gating teknik agerar. Men vad det verkar styr man spänning för varje modul.

Det betyder att AMD inte har separat kontroll för de två exkeveringsenheterna i modulerna vilket i teorin skulle vara det optimala. Men det lär bli ett stort steg i rätt riktning jämfört med deras nuvarande Turbo Core-teknik.

Vad och när vi kan vänta oss AMD Bulldozer

En Bulldozer-modul ska enligt AMD själva ska ge 80% av flertrådsprestandan hos en motsvarande tvåkärnig arkitektur och detta med betydligt lägre strömförbrukning och i en bråkdel av kretsstorleken. Med en arkitektur som lovar billig och kraftfull flertrådsprestanda är det inte helt överraskande att AMD först tar sikte på servermarknaden när man väl lanserar Bulldozer. 

Genom att bredda sina processorer med upp till 8 moduler kan men erbjuda 16-kärniga processorer som vid flertråddade belastningar ska kunna ge 50% bättre prestanda än motsvarande Opteron-processorer. Trots att man endast har 33% fler kärnor (16-kärnig Interlagos jämförd med 12-kärnig Magny Cours).

bulldozer.arch

Tyvärr kommer vi inte att få se Bulldozer på marknaden förrän lite senare under 2011. AMD ger inga konkreta datum men serverprodukter väntas inte ut på marknaden förrän tidigast andra kvartalet och desktoplösningar är ännu svårare att sia om.

Kan AMD leverera en effektiv processorarkitektur som ger god flertrådsprestanda vid effektiv strömförbrukning finns det dock mycket att vinna på både server-  och den konsumentmarknaden.

Positivt är även att Bulldozer, precis som lillebror Bobcat, kommer att paras med grafikdelar i framtida Fusion-kretsar. Något vi lär få veta mer om under nästa år.

AMD:s första Fusion-krets lanseras dock redan i år, byggd på tidigare nämnda Bobcat-arkitektur.


Medan Bulldozer fått ett kraftfullt namn är Bobcat den vildare av dem två. AMD har inte tidigare utvecklat en mikroarkitektur efter de mål och specifikationer man satt upp för sin vildkatt. Processortillverkaren menar att man lyckats tygla strömförbrukningen samtidigt som man ökar prestandan ett par snäpp jämfört med konkurrerande lösningar.

Bobcat är en arkitektur som alltså riktar sig strikt mot strömsnåla marknader och även om AMD själva menar att arkitekturen är kapabel att arbeta med en strömförbrukning på under 1 watt är detta inget vi lär få se prov på inom en allt för snar framtid.

bobcat.arch

Bobcats fokusområde är den mobila marknaden och primärt jaga marknadsandelar på netbook och den ultraportabla marknaden där Intel regerar med sina strömsnåla Atom och CULV-plattformar.

Den primära konkurrenten blir utan tvekan Intel Atom, en processor som trots ett något skamfilat rykte på grund av sin undermåliga prestanda, sålt i sannslösa 70 miljoner exemplar sedan lanseringen år 2008.

AMD hoppas dra nytta av prestandadiskussionerna kring Atom och netbookplattformen genom att med Bobcat utveckla en arkitektur som inte bara klarar sig på väldigt lite ström utan även gör det med hög prestanda.

Nyckeln till detta är en out of order arkitektur som bör lämna Atom bakom sig i regelrätt prestanda.


När Intel utvecklade sin Atom-arkitektur var målet att göra den så enkel, billig och strömsnål som möjligt. Prestandakraven kom med största säkerhet senare i diskussionen, så länge processorn klarade av att driva en billig PC fick det räcka.

Lösningen blev en så kallad in-order arkitektur. Fördelerna är en betydligt enklare arkitektur som helt enkelt kräver färre transistorer att bygga. Nackdelen är att om data som krävs för en beräkning inte är hämtad ur minnet stannar arbetet upp i väntan på rätt data. Det till skillnad mot out-of-order arkitekturer (OoO) som kan arbeta med andra instruktioner och beräkningar i väntan på att alla data ska hämtas, något som ger en mycket effektivare krets men också betydligt mer komplex och resurskrävande.

bob15

In-order arkitekturer har knappt använts på konsumentmarknaden sedan mitten av nittotalet, efter att AMD K5 och Intel Pentium Pro lanserades med out-of-order arkitekturer. Men med Atom gjorde den comeback och väntas hänga kvar åtminstone ett par år till.

AMD beräknar att man med dagens effektivare tillverkningsteknik ska kunna skapa en out-of-order krets som kan husera inom samma formfaktorer som Atom, men med betydligt högre prestanda, specifikt i enkeltrådade applikationer.

Bobcat är precis som Bulldozer och Atom en 64-bit x86 arkitektur men i övrigt är det alltså en hel del skiljer dem åt.


Precis som med Bulldozer har AMD lagt stor vikt vid att skapa effektiva förutbestämmelser för vilken data deras exekveringsenhet  krets ska arbeta med. Något man gör med sin branch predictor enhet som matar instruktionscachen med kod. Även om effektivitet var viktigt i server och prestandaprocessorn Bulldozer är det kanske än mer så på den mobila marknaden där varje förlorad klockcykel äter upp viktig batteritid.

bob2

Bobcat är en 2-vägs mikroarkitektur precis som Atom och kommer med dubbla x86-avkodare som matar vidare mikroinstruktioner till heltals- eller flyttalsexkeveringsenheten. Instruktionscachen som är 2-vägs associativ ligger på 32KB vilket tillsammans med en 8-vägs 32KB data cache ger kretsen en total L1-cache på 64KB.

L2-cachen är 16-vägs associativ och 512KB stor. För att spara ström kommer L2-cachen att arbeta med halva klockfrekvensen, men hur mycket detta påverkar prestandan är oklart.

Tittar vi närmare på processorns pipeline mäter den 15 steg, vilket kan jämföras med Intel Atom och dess 16 stegs pipeline. Just längden på pipelinen påverkar hur höga klockfrekvenser en processor kan nå (i regel ger längre pipeline möjlighet till högre klockfrekvenser) vilket borde betyda att AMD kan hålla jämna steg med Atom inom detta område. Något tidiga uppgifter kring Ontario verkar stärka.

bob12

Förutom storleken är åtkomsttiderna till cacheminnet extremt viktigt. Desto snabbare man kan hämta data, desto lättare blir det att hålla beräkningsenheterna sysselsatta. AMD specificerar en träff på L1-cachen till 3 clockcykler, medan en träff på L2 cache ska ta 17 clockcykler. Vilket även detta ska vara i paritet med värdena för Intel Atom.

En arkitektur byggd för att vara strömsnål

Sist men defenitivt inte minst har vi AMD:s arbeta för att minimera Bobcats strömförbrukning. Processortillverkaren hävdar att hela mikroarkitekturen är utvecklad för att vara så strömsnål som möjligt och detta genom att bland annat minimera flytten av data med fysiska register filer samt aktiv styrning av både klockfrekvenser och spänningar, likt i Bulldozer. AMD har även försett Bobcat med stöd för C6 power state vilket minimerar strömförbrukningen vid vila.

Vill man veta mer om AMD:s nya Bobcat arkitektur finns här nedan ett flertal dokument från AMDs pressmaterialför dem som verkligen vill gotta sig i de tekniska detaljerna. Nu är det annars dags att titta närmare på vad allt detta betyder för oss konsumenter och hur Bobcat kommer att hitta ut i våra datorer.

bob3 bob4
bob5 bob6
bob7 bob8
bob9 bob10
bob11  

 


När vi pratar om Bobcat-arkitekturen som en del av Ontario och AMD:s Fusion-familj är det viktigt att inse uppbyggnaden av AMD:s Application Processing Unit (APU). Ontario som blir den första Fusion APU att nå marknaden är en så kallad system-on-a-chip lösning (SoC).

bob16

Detta betyder att kretsen huserar flera beräkningsenheter för olika ändamål, Bobcats processorkärna är bara en av dessa delar. Även om Bobcat är en enkelkärnig arkitektur planerar AMD att förse alla sina Fusion-kretsar med två eller fyra Bobcat-kärnor, något som försäkrar att man inte tappar fotfästet i enkeltrådade applikationer. Sammanfogade på samma kiselkrets hittar vi även en grafikkrets (GPU), videokrets (UVD) och annan funktionalitet som integrerats från nordbryggan.

Fusions framgång avgörs inte bara av Bobcat

Förutom Bobcat-arkitekturen vet vi väldigt lite om AMD Fusion än så länge, men förutom en förhoppningsvis kraftfull GPU krävs det även att AMD levererar en solid lösning för den integrerade minneskontrollern och bussarna. Som i Fusion inte bara ska hantera data från processorkärnorna utan även grafikkretsen. När AMD konfronterades med detta faktum svarade man svävande att detta var något man givetvis var medvetna om och något som man löst väl under utvecklingen av kretsen.

Prestanda och strömförbrukning är nyckeln

Den information AMD än så länge avslöjat om Bobcat och sin första Fusion APU är primärt riktad mot just processordelen. AMD hävdar att Bobcat med sin out-of-order arkitektur ska ge upp till 90% av den prestanda man hittar i dagens mobila processorer på mellanklassmarknaden. Detta vid halva kretsstorleken och betydligt lägre strömförbrukning. Prestandamässigt skulle detta betyda att man inte ens ger Intel Atom en chans att hänga med och då har vi ännu inte tagit med den DirectX 11 kapabla grafikkretsen i beräkningarna.

Bobcats arkitektur och pipeline antyder att de rapporter vi sett tidigare som talat om en klockfrekvens på 1,4 till 1,6 GHz borde stämma. Något som även ger trovärdighet till AMD:s uppskattade prestanda.

Om vi tar för givet att AMD:s grafikdel är i NVIDIA ION-klass, vi tippar egentligen på bättre än så, är det bara strömförbrukningen som på pappret kan stjälpa AMD:s nya mobila arkitektur. Vi vet att den kan skalas ner till extremt låg strömförbrukning, men vi har inga konkreta besked på hur mycket extra kräm Bobcats OoO arkitektur kommer att kräva och för den delen den integrerade grafikkretsen, om vi ser till hela Ontario. Mycket beror också på hur väl AMD lyckas tygla TSMC:s 40-nanometerteknik där man faktiskt har ett mindre övertag på Intel Atom som fortfarande använder sig av 45-nanometerteknik.

Kan AMD ge oss den prestanda vi hoppas och hålla en strömförbrukning när Intels Atom-plattform kan detta bli ett stort lyft inte bara för AMD utan för hela netbookmarknaden, och för den delen den ultramobila marknaden där AMD också haft förtvivlat svårt att hävda sig.


AMDs största fiende förutom ärkerivalen Intel är tid. Bulldozer och Bobcat planerades till lansering under 2009, men verkar nu inte komma på marknaden förrän i början på 2011. Av det vi sett idag står det klart att AMD gjort sin läxa i flera avseende och både Bulldozer och Bobcat ser ut att vara väl anpassade för den nuvarande processormarknaden, något K10 och Phenom-familjen knappast kan anklagas för.

Llano tar Fusion till stationära marknaden med hjälp av Phenom II

Med det sagt har AMD:s K10-arkitektur ännu inte sjungit på sista versen. Bulldozer kommer som sagt inte att lanseras förrän tidigast andra kvartalet 2011 och då först för servermarknaden. Llano är den arkitektur som fått ansvaret att täcka upp på stationära marknaden medan Bulldozer förbereder sig i kulisserna. Llano kommer att vara en Fusion APU som bygger kring AMDs K10-arkitektur. Men i samband med att man packar in sina gamla Phenom II-kärnor i en Fusion-krets har man sett över resurserna kring själva kärnorna. Llano ska erbjuda något förbättrad CPU-prestanda och inte minst lägre strömförbrukning än dagens Phenom II-processorer. Grafikdelen är fortfarande mycket av ett mysterium men den kommer precis som i Ontario sitta på samma kiselkrets som processorkärnorna. Llano är planerad för lansering på mellanklassmarknaden under första halvan av 2010.

Kommande Llano plattformar och processorer:

  • Lynx plattformen med Llano APU
  • Upp till 4 Phenom II-kärnor
  • Integrerad DX11 GPU
  • DDR3
  • Socket AM3

Bulldozer syns blott vid horisonten

AMD har idag ingen processor som kan drömma om att rent prestandamässigt konkurrera med Intels Core i7- eller Xeon-familj. Marknadsandelarna börjar förtvina och även om Phenom II och Llano kan hålla emot ett tag till på mellanklassmarknaden behöver AMD få ut Bulldozer på marknaden och det fort. Idag lutar det åt en lansering på konsumentmarknaden under andra halvåret 2011, vilket betyder att man får tampas med Intels då välbeprövade Sandy Bridge-arkitektur. Serverprocessorerna ligger något närmare till hands med en lansering andra kvartalet 2011 i form av Interlagos (12-16 kärnor, Opteron 6000) och Valencia (6-8 kärnor, Opteron 4000), och det ska bli spännande att se Bulldozers flertrådsarkitektur ute på fältet.

Kommande Bulldozer plattformar och processorer:

  • Scorpius plattformen med Zambezi CPU
  • 4/8 Bulldozer-kärnor
  • DDR3
  • Socket AM3

Bobcat lanseras 2010, i produkter tidigt nästa år

Bobcat kommer alltså att lanseras under fjärde kvartalet 2010 genom kretsen Ontario. AMD har redan gjort framsteg på den mobila marknaden och med Bobcat och sin Fusion-design har man stora möjligheter att på allvar börja äta upp Intels stora försprång.

Processorprestandan ser ut att bli betydligt högre än hos Intel Atom, grafikdelen kan inte bli annat än mycket kraftfullare och om kretsen bara kan hålla sig inom en rimlig strömförbrukning är undertecknad en av dem som på allvar börjar fundera över en netbook till PC-arsenalen.

Extra spännande med Bobcat är de potentiella marknader som finns. AMD var öppna med att arkitekturen kan skalas för de flesta ändamål från kraftfulla smartphones till TV-apparater eller tablets. Allt beror på efterfrågan hos deras kunder och förmodligen även på framsteg inom tillverkningsteknik.

Vi är åtminstone mer spända än någonsin på AMD:s första riktiga mobila arkitektur och ser verkligen fram emot de första Fusion APU-kretsarna på marknaden.

Kommande Bobcat plattformar och processorer:

  • Brazos plattformen med Ontario APU
  • 2 Bobcat-kärnor
  • Integrerad DX11 GPU
  • DDR3

12
Leave a Reply

Please Login to comment
12 Comment threads
0 Thread replies
0 Followers
 
Most reacted comment
Hottest comment thread
9 Comment authors
thisAnton KarmehedAndreas GMean Machinermd Recent comment authors
  Subscribe  
senaste äldsta flest röster
Notifiera vid
-Tjalve-
Gäst
-Tjalve-

Lärorik och intressant artikel Anton. Som vanligt ett bra jobb. Det ska bli väldigt intressant att se om AMD kan ta tillbaka lite av det prestanda-gap som finns idag.

Tempel
Medlem
Tempel

Lyckas dom bara komma upp i 90% av prestandan så är det ändå lyckat för oss konsumenter då dom måste lägga sig rätt i pris.

fackamato
Medlem

Riktigt sugen på Bobcat, det kanske är den som sitter i nästa laptop! Wikipedia tycker att Bulldozer är bakåtkompatibel med AM3, men det stämmer väl inte?

Svensken
Medlem
Svensken

Jättebra artikel. Tycker att hela filosofin kring Bulldozer känns klockren. Även om man tappar lite prestanda med modul uppbyggnaden tjänar man på strömförbrukning och storlek på prollen. Om vi jämför med idag där en Phenom II X4 ( Deneb ) är ungefär lika stor som en i7/Bloomfield men med sämre prestanda. Med modul lösningen lär man kunna ha bra priser och tjäna bra med pengar på det. Känns som att AMD är på väg tillbaka igen vilket jag verkligen hoppas det gynnar bara oss konsumenter i slutändan.

rmd
Medlem

jag har allitd gillat amd och haft en viss skepticism mot dom stora spelarna, men nu tycks det vara intel som ligger på efterkälken med nyskapandet. vi får se hur det går men helt klart låter bobcat och ontario som något man kan göra små trevliga servrar och routers av!

..kanske är dags för dendär amd-tatueringen snart? 😛

Mean Machine
Gäst
Mean Machine

Känns lite som om AMD siktar på att fortsätta på mid-range-marknaden, misstänker att det här inte blir något våldsamt high-end-chip, som man kanske hade önskat sig. Det är nog bara jag som är arbetsskadad och föredrar galen prestanda före allt (så jag kan sitta och shuffla låtar i Foobar2000 med stil). Fast å andra sidan, jag är inte direkt målgruppen antar jag, men jag förstår att större delen värdesätter låg strömförbrukning och billiga processorer, jag hoppas bara de blir en framgång för AMD, likt A64 på den gamla goda tiden, det behöver AMD.

Andreas G
Medlem

Jag bestämde mig tidigare i år för att min nästa laptop kommer bli AMD-baserad. Jag sitter bara och väntar på att de ska få ut ordentliga laptops med dessa kretsar så jag kan köpa nytt.
Jag trodde jag blivit såpass avtrubbad vid det här laget att ny hårdvara inte skulle göra mig så värst känslosam, men just nu är jag jävligt irriterad på att jag ska behöva vänta till i vinter på att köpa en ny laptop. Inte minst eftersom två knappar lossnade på denna i förra veckan.

this
Medlem
this

[quote]
Hehe jag förstår hur du känner, jag sitter nog lugn i båten men ska fixa en ny netbook/ultraportabel till tjejen och det var inte glada miner när jag började prata om januari 2011.. 😛 [/quote]
Jo jag har också mycket höga förväntningar på bobcat och förhoppningsvis så är väntan väl värd. För en Atom baserad dator känns inte alls lockade just nu.